24小時(shí)服務(wù)熱線:138-1628-8164
138-1628-8164

行業動态

您的位置: 首頁 > 新(xīn)聞資訊 > 行業動态

2022年全球半導體(tǐ)行業10大技術趨勢

發布時(shí)間(jiān):2022-02-18 浏覽:

  2020年秋開始在全球範圍内爆發的芯片短缺,在2021年持續了(le)一(yī)整年仍沒有緩解态勢,半導體(tǐ)行業在拓展産能(néng)的同時(shí),也(yě)在積極将工藝升級提高産出率。另一(yī)方面,新(xīn)冠病毒不斷出現(xiàn)變異,疫情的延續對于整個(gè)半導體(tǐ)行業的影響依舊存在,遠(yuǎn)程辦公、線上(shàng)會議(yì)和在線教育習慣的形成,加速了(le)多個(gè)産業的數字化(huà)轉型,也(yě)從側面促進了(le)網絡通信、AI、存儲和雲服務(wù)等技術更新(xīn)。

  AspenCore全球分(fēn)析師團隊在這(zhè)一(yī)年中與業内專家和廠商(shāng)交流,總結分(fēn)析後挑選出了(le)2022年全球半導體(tǐ)行業将出現(xiàn)或高速發展的10大技術趨勢。

  一(yī)、3nm工藝量産,2nm競争不确定性增加

  半導體(tǐ)尖端制造工藝方面,2020年三星foundry臨時(shí)将4LPE調整為(wèi)完整工藝節點——即4nm工藝會成為(wèi)三星接下(xià)來(lái)一(yī)段時(shí)間(jiān)的推廣重點。加上(shàng)2021年10月(yuè)(yuè)台積電發布的消息基本明确了(le)N3工藝的稍許延後,2022年或許将成為(wèi)4nm工藝之年;iPhone 14要趕上(shàng)3nm工藝是幾乎無望的。

  不過基本可以明确的是,雖然采用台積電N3工藝的芯片最快(kuài)大概需要等到2023年一(yī)季度才會問世,但(dàn)N3工藝量産明确在是2022年第四季度。

  與此同時(shí),我們認為(wèi),三星3nm GAA或許會比台積電N3再晚一(yī)點。三星在3nm節點上(shàng)開始采用GAA結構晶體(tǐ)管是焦點,但(dàn)實際上(shàng)三星也(yě)未能(néng)按照時(shí)間(jiān)點如(rú)期推進。而且基于三星目前公開的數據,其最早的3nm工藝在技術層面可能(néng)會存在更大的不确定性。

  至于Intel 3,即便按照規劃也(yě)是完全趕不上(shàng)2022年的班車的。我們認為(wèi),台積電N3将持續保持市(shì)場(chǎng)優勢地位,并且相較另外兩名對手暫時(shí)有着顯著的領先。但(dàn)在N3上(shàng)踩一(yī)腳刹車,實際上(shàng)也(yě)為(wèi)2nm時(shí)代的來(lái)臨埋下(xià)隐患。

  一(yī)方面Intel 20A工藝預計将在2024年上(shàng)半年到來(lái),Intel 18A則或可見于2025年下(xià)半年——Intel在這(zhè)兩個(gè)節點上(shàng)預備重返技術領先地位的決心是相當大的;另一(yī)方面三星預計将在2025年下(xià)半年量産的2nm工藝,将是其第三代GAA結構晶體(tǐ)管,即其3nm工藝雖然很難取得市(shì)場(chǎng)優勢地位,但(dàn)技術上(shàng)将為(wèi)其2nm工藝提供強有力的支撐。這(zhè)些(xiē)都為(wèi)後續2nm工藝市(shì)場(chǎng)競争增加了(le)不确定性。

20160520114924_1547444866.jpg

  二、DDR5标準内存進入量産和商(shāng)用

  2020年7月(yuè)(yuè)15日,為(wèi)了(le)解決從客戶端系統到高性能(néng)服務(wù)器(qì)的廣泛應用所面臨的性能(néng)和功耗挑戰,固态技術協會(JEDEC)正式發布了(le)下(xià)一(yī)代主流内存标準DDR5 SDRAM的最終規範(JESD79-5),為(wèi)全球計算(suàn)機内存技術拉開了(le)新(xīn)時(shí)代的序幕。JEDEC将DDR5描述為(wèi)一(yī)種“具備革命意義”的内存架構,認為(wèi)它的出現(xiàn)标志着整個(gè)行業即将向DDR5服務(wù)器(qì)雙列直插式内存模塊(DIMM)過渡。

  市(shì)場(chǎng)調研機構Omdia分(fēn)析指出,對DDR5的市(shì)場(chǎng)需求從2020年已經開始逐步顯現(xiàn),到2022年,DDR5将占據整個(gè)DRAM市(shì)場(chǎng)份額的10%,2024年則将進一(yī)步擴大至43%;Yole Development則預測稱,DDR5的廣泛采用應該會從2022年的服務(wù)器(qì)市(shì)場(chǎng)開始,2023年,手機、筆記本電腦和PC等主流市(shì)場(chǎng)将開始廣泛采用DDR5,出貨量明顯超過DDR4,兩種技術間(jiān)完成快(kuài)速過渡。

  内存帶寬增長速度遠(yuǎn)遠(yuǎn)趕不上(shàng)處理(lǐ)器(qì)性能(néng)的提升速度,這(zhè)是DDR5推出的根本動力所在。但(dàn)與其先前幾代産品的叠代重點主要集中在如(rú)何降低(dī)功耗上(shàng),并将PC視(shì)為(wèi)應用優先級不同,業界普遍認為(wèi),DDR5将緊随DDR4的步伐,率先導入數據中心。

  DDR5最亮眼的部分(fēn),就(jiù)是速度比已經“超級快(kuài)”的DDR4還要快(kuài)。與1.6GHz時(shí)鍾頻率下(xià)DDR4内存最高3.2Gbps的傳輸速度相比,全新(xīn)DDR5内存的最高傳輸速率達到了(le)6.4Gbps,并同步将供電電壓從DDR4的1.2V降至1.1V,進一(yī)步提升了(le)内存的能(néng)效表現(xiàn)。

  目前,三星、SK海力士和美光等全球存儲巨頭已經宣布了(le)各自的DDR5産品量産和商(shāng)用時(shí)間(jiān)表。不過,DDR5的面市(shì)絕非一(yī)蹴而就(jiù),它需要得到包括系統和芯片服務(wù)商(shāng)、渠道商(shāng)、雲服務(wù)提供商(shāng)和原始設備制造商(shāng)在内的生(shēng)态系統的強力支持。

  三、DPU市(shì)場(chǎng)蛋糕持續做大和爆發

  DPU這(zhè)個(gè)名号變得響亮是從2020年近年底的時(shí)候開始的。我們認為(wèi),将DPU這(zhè)一(yī)名詞變得熱門的市(shì)場(chǎng)行為(wèi),一(yī)是英偉達收購(gòu)以色列公司Mellanox之後,次年就(jiù)發明了(le) “DPU”一(yī)詞;二是同年初創公司Fungible大肆推廣DPU這(zhè)個(gè)名字。

  DPU的D是指data數據。不得不承認老黃(huáng)是營銷鬼才,smartNIC搖身(shēn)一(yī)變就(jiù)成了(le)DPU數據處理(lǐ)器(qì);而且以迅雷不及掩耳之勢,短時(shí)間(jiān)内冒出了(le)數十家DPU初創企業。

  DPU本質上(shàng)是smartNIC進化(huà),但(dàn)從DPU的火(huǒ)熱并不難看出數據中心對于數據方向專用處理(lǐ)器(qì)的熱烈渴求,以及形态上(shàng)的進一(yī)步固定和标準化(huà)。

  早年數據中心有個(gè)詞叫“數據中心稅”,即服務(wù)器(qì)選購(gòu)很多核心的CPU,但(dàn)對最終業務(wù)而言,其中一(yī)部分(fēn)核心是默認被“吞噬”的。因為(wèi)這(zhè)些(xiē)處理(lǐ)器(qì)資源需要用來(lái)做數據虛拟networking、安全、存儲、虛拟化(huà)等工作(zuò)。當這(zhè)些(xiē)工作(zuò)變得越來(lái)越複雜,DPU就(jiù)出現(xiàn)了(le)。就(jiù)像針對圖形計算(suàn)有GPU,針對AI計算(suàn)有NPU,DPU也(yě)是這(zhè)個(gè)時(shí)代專用計算(suàn)崛起的一(yī)個(gè)産物。

  一(yī)般我們說(shuō)DPU的工作(zuò)包括了(le)第一(yī),offload(卸載)原屬于CPU的OVS、存儲、安全服務(wù)之類的活兒(ér);第二,以hypervisor管理(lǐ)做隔離、虛拟化(huà)實現(xiàn);第三是以各種方式,進一(yī)步加速跨節點的數據處理(lǐ)。

  DPU成為(wèi)數據中心标配也(yě)就(jiù)不難理(lǐ)解。但(dàn)需要注意的是,在具體(tǐ)實現(xiàn)上(shàng),不同的DPU不應同台競技,這(zhè)是由其扮演角色差異導緻的。比如(rú)Intel的IPU雖然也(yě)是一(yī)種DPU,但(dàn)和英偉達DPU在職責和工作(zuò)偏向性上(shàng)仍然有不同。所以有一(yī)定可能(néng)性,DPU市(shì)場(chǎng)或許會出現(xiàn)細分(fēn)。以及數據中心系統企業都在自研更具适配性的DPU,這(zhè)給DPU市(shì)場(chǎng)帶來(lái)了(le)不确定性。

3bf36920c5873b18b81d5db78ac8e5fd.jpg

  四、存算(suàn)一(yī)體(tǐ)翻越“存儲牆”和“功耗牆”

  存算(suàn)一(yī)體(tǐ)技術(Processing in-memory,PIM)概念的形成,最早可以追溯到上(shàng)個(gè)世紀七十年代,但(dàn)當時(shí)受限于芯片設計複雜度與制造成本問題,缺少殺手級大數據應用進行驅動,一(yī)直不溫不火(huǒ)。

  随着最近幾年芯片制造工藝的進步和人(rén)工智能(néng)(AI)應用的發展,處理(lǐ)器(qì)計算(suàn)能(néng)力越來(lái)越強、運算(suàn)速度越來(lái)越快(kuài),存儲容量越來(lái)越大。面臨數據洪流,數據搬運慢(màn)、搬運能(néng)耗大等問題成為(wèi)了(le)計算(suàn)瓶頸。從處理(lǐ)單元外的存儲器(qì)提取數據,搬運時(shí)間(jiān)往往是運算(suàn)時(shí)間(jiān)的成百上(shàng)千倍,整個(gè)過程的能(néng)耗大概在60%-90%之間(jiān),能(néng)效非常低(dī)。

  另一(yī)方面,接近極限的摩爾定律和被存儲牆限制的馮諾依曼架構,在算(suàn)力提升上(shàng)也(yě)已經不能(néng)滿足這(zhè)個(gè)時(shí)代的需求。當前多種嘗試解決處理(lǐ)“存儲牆”與“功耗牆”的非馮諾依曼(non-von Neumann)架構包括低(dī)電壓亞阈值數字邏輯ASIC、神經模态(Neuromorphics)計算(suàn)和模拟計算(suàn)等,而存算(suàn)一(yī)體(tǐ)是最直接高效的一(yī)種。

  存算(suàn)一(yī)體(tǐ)可理(lǐ)解為(wèi)在存儲器(qì)中嵌入算(suàn)法,令存儲單元具備計算(suàn)能(néng)力,這(zhè)是新(xīn)型的運算(suàn)架構,做的是二維和三維矩陣乘法運算(suàn),而不是在傳統邏輯運算(suàn)單元上(shàng)優化(huà)。這(zhè)樣能(néng)從理(lǐ)論上(shàng)消除數據搬移的延遲和功耗,成百上(shàng)千倍地提高AI計算(suàn)效率,降低(dī)成本,因此格外适用于神經網絡。

  當前國内外已經有一(yī)大批存算(suàn)一(yī)體(tǐ)芯片公司伴随着融資信息浮出水面,動辄億元起的融資金(jīn)額也(yě)充分(fēn)證明了(le)後摩爾時(shí)代,異構計算(suàn)和新(xīn)架構正在獲得資本的青睐。基于不同的存儲介質,各家在做存算(suàn)一(yī)體(tǐ)技術的時(shí)候會采用不同的技術方向,有些(xiē)是憶阻器(qì),有些(xiē)則是SRAM、DRAM、Flash等。随着3D堆疊技術的發展,以及新(xīn)型非易失性存儲器(qì)件的日益成熟,存算(suàn)一(yī)體(tǐ)将迎來(lái)屬于它的時(shí)代。

  五、5G建設重點向獨立組網和毫米波轉變

  憑借光纖般的速度、超低(dī)時(shí)延和網絡大容量,5G正在産生(shēng)如(rú)同電力一(yī)樣巨大的影響力,徹底變革着各行各業。

  而作(zuò)為(wèi)Sub-6GHz頻段的有力補充,5G毫米波具備頻率寬帶容量大,易與波束賦形結合,超低(dī)時(shí)延等多個(gè)突出優勢,有利于推動工業互聯網、AR/VR、雲遊戲、實時(shí)計算(suàn)等行業的發展。同時(shí),毫米波可以支持密集區域的部署,進行高精度定位,設備集成度高,将有利于促進基站(zhàn)和終端的小型化(huà)發展。

  根據GSMA《毫米波的應用價值》報(bào)告,預計到2035年,5G毫米波将創造5650億美元的全球GDP,并産生(shēng)1520億美元的稅收,占到5G創造總價值的25%。而另一(yī)份《5G毫米波在中國》的報(bào)告則指出,預計到2034年,在中國使用毫米波頻段所帶來(lái)的經濟收益将達到1040億美元,其中垂直行業領域中的制造業和水電等公用事(shì)業占貢獻總數的62%,專業服務(wù)和金(jīn)融服務(wù)占12%,信息通信和貿易占10%。

  目前,已有48個(gè)國家的186家運營商(shāng)正在26-28GHz、37-40GHz和47-48GHz的毫米波頻譜上(shàng)規劃發展5G;23個(gè)國家的134家運營商(shāng)持有許可證,可以進行毫米波部署,北美、歐洲和亞洲占據所有頻譜部署的75%。其中,26-28GHz是被部署和發放(fàng)牌照最多的毫米波頻段,37-40GHz頻段緊随其後。

  但(dàn)并不是所有應用場(chǎng)景都需要毫米波覆蓋。2021年7月(yuè)(yuè),中國工信部聯合十部門印發《5G應用“揚帆”行動計劃(2021-2023年)》,提出針對工業物聯網、車聯網、物流、港口、電力、農(nóng)業等9個(gè)場(chǎng)景進行5G業務(wù)深化(huà)推進,而上(shàng)述場(chǎng)景對帶寬、時(shí)延的要求非常高,易于毫米波發揮自身(shēn)優勢。

8db7b5824567e3b41bdd8a5b82f72c0d.jpg

  六、EDA工具開始使用AI設計芯片

  當前智能(néng)手機、車聯網、IoT等終端,對于系統級芯片(SoC)的PPA (功耗、性能(néng)、面積)提出了(le)更高的要求。面對動辄數百億顆晶體(tǐ)管的芯片設計規模,以及異構集成、系統級封裝、Chiplets等新(xīn)的封裝方向,如(rú)果沒有機器(qì)學習(ML)和人(rén)工智能(néng)的輔助,隻用現(xiàn)有的設計方法,工程師們會面臨越來(lái)越嚴峻的挑戰。

  将AI設計從概念升級到實戰階段,無論是在EDA工具中應用AI算(suàn)法賦能(néng)芯片設計的“AI Inside”,還是關(guān)注如(rú)何設計EDA工具助力AI芯片高效設計的“AI Outside”,EDA工業界和學術界都已經開始行動。在國家戰略層面,美國國防高級研究計劃局(DARPA)甚至開始将電子(zǐ)資産智能(néng)設計(IEDA) 作(zuò)為(wèi)代表性項目,重點突破優化(huà)算(suàn)法、7nm以下(xià)芯片設計支持、布線和設備自動化(huà)等關(guān)鍵技術難題。

  其實AI用于芯片設計已經不是新(xīn)鮮事(shì)了(le),谷歌(gē)當年在設計TPU芯片時(shí)就(jiù)用到了(le)AI技術;三星将AI技術融入到芯片的設計中,據稱超越了(le)此前可以達到的芯片PPA效果;英偉達也(yě)正在用AI算(suàn)法來(lái)優化(huà)5nm和3nm芯片的設計…

  總的來(lái)說(shuō),芯片設計後端(或稱物理(lǐ)實現(xiàn)),尤其是人(rén)力占比巨大的版圖、布局布線領域是AI發力的關(guān)鍵,快(kuài)速建模、電路(lù)仿真、提升VLSI QoR等也(yě)都是EDA運用AI的方向。可以看出目前AI的優勢在于執行大規模運算(suàn)、對比提取或對一(yī)些(xiē)功能(néng)進行增強,而在“從0到1”的創造階段以及決策階段,仍需要配合人(rén)類工程師。但(dàn)不管怎麽說(shuō),AI将是EDA未來(lái)發展的終極形式,也(yě)是未來(lái)幾年芯片設計效率提升的關(guān)鍵。

  七、Matter将推動物聯網和智能(néng)家居互聯标準的統一(yī)

  連接标準聯盟(Connectivity Standards Alliance,原Zigbee聯盟)與亞馬遜、蘋果和谷歌(gē)等智能(néng)家居廠商(shāng)在原來(lái)的IP互聯家庭項目(Project Connected Home over IP,CHIP)基礎上(shàng)發展出Matter這(zhè)一(yī)标準化(huà)的互聯協議(yì),旨在讓來(lái)自不同廠商(shāng)、采用各種無線連接标準的物聯網設備實現(xiàn)互操作(zuò)性和兼容性,從而為(wèi)消費者帶來(lái)更好(hǎo)(hǎo)的設備安裝和操作(zuò)使用體(tǐ)驗,同時(shí)簡化(huà)制造商(shāng)和開發者的物聯網設備開發流程。

  Matter作(zuò)為(wèi)應用層,可以将采用各種 IP 協議(yì)和互聯标準運行的設備統一(yī)起來(lái),支持它們進行跨平台的通信。獲得Matter認證的産品可以與亞馬遜Alexa、蘋果HomeKit,以及谷歌(gē)等智能(néng)家居生(shēng)态系統兼容。Matter協議(yì)目前支持以太網、Wi-Fi和Thread三種底層通信協議(yì),并且還統一(yī)采用低(dī)功耗藍牙(BLE)作(zuò)為(wèi)配對方式。Matter不會取代任何現(xiàn)有物聯網無線協議(yì),它是運行在現(xiàn)有協議(yì)之上(shàng)的一(yī)個(gè)架構,将來(lái)還會支持更多的協議(yì),包括Zigbee和Z-Wave等。

  Matter标準已經得到互聯網巨頭(亞馬遜、蘋果和谷歌(gē))、芯片供應商(shāng)(Silicon Labs、NXP和樂(yuè)鑫科技)、物聯網和智能(néng)家居設備廠商(shāng)(宜家、華為(wèi)和OPPO),以及智能(néng)家居平台(塗鴉和Wulian)的支持,預計從2022年開始将會在全球範圍内快(kuài)速增長和普及,成為(wèi)物聯網和智能(néng)家居的統一(yī)互聯标準。

37a41a5a1686700c66d5492c830d8780.jpg

  八、RISC-V架構處理(lǐ)器(qì)進入高性能(néng)計算(suàn)應用領域

  10年前起源于UC-Berkeley的RISC-V現(xiàn)已成為(wèi)主流的微處理(lǐ)器(qì)架構指令集(ISA),但(dàn)其主要應用還局限在嵌入式系統和微控制器(qì)(MCU)領域,尤其是新(xīn)興的物聯網市(shì)場(chǎng)。這(zhè)一(yī)開源、免費和自由的微處理(lǐ)器(qì)架構能(néng)否像x86和Arm那樣擔當高性能(néng)計算(suàn)(HPC)的重任?從芯片巨頭、fabless初創公司到微處理(lǐ)器(qì)内核IP開發商(shāng)都在嘗試将RISC-V引入數據中心、AI、5G和服務(wù)器(qì)等高性能(néng)計算(suàn)應用領域,RISC-V大有與x86和Arm平分(fēn)天下(xià)之勢。

  SiFive的Performance系列是其最高性能(néng)的 RISC-V 内核,專為(wèi)網絡、邊緣計算(suàn)、自主機器(qì)、5G 基站(zhàn)、虛拟/增強現(xiàn)實而設計。最新(xīn)的P550微處理(lǐ)器(qì)采用RISC-V RV64GBC ISA、13級流水線/三發射/亂序執行的微架構、四核集群具有4MB的三級緩存、主頻 2.4 GHz。P550 内核的SPECint 2006測試性能(néng)為(wèi)8.65/GHz,與Arm Cortex-A75對比,在SPECint2006 和 SPECfp2006 整數/浮點基準測試中具有更高的性能(néng),而占用面積卻小得多,四核P550 集群的占用空間(jiān)與單個(gè)Cortex-A75大緻相當。

  英特爾将在其7nm Horse Creek平台中使用P550内核,通過将英特爾接口 IP(如(rú) DDR 和 PCIe)與 SiFive 的最高性能(néng)處理(lǐ)器(qì)相結合,Horse Creek 将為(wèi)高端RISC-V 應用提供有價值且可擴展的開發工具。

  矽谷IC設計初創公司Esperanto推出了(le)集成1000多個(gè)RISC-V内核的AI加速器(qì)芯片ET-SoC-1,專為(wèi)數據中心AI推理(lǐ)而設計。該芯片采用台積電7nm工藝,集成了(le)240 億個(gè)晶體(tǐ)管。ET-SoC-1包含1088個(gè)高效能(néng)ET-Minion 64位RISC-V有序内核(而且每個(gè)内核都自帶一(yī)個(gè)矢量/張量單元);4個(gè)高性能(néng)ET-Maxion 64位RISC-V亂序内核;超過160MB片上(shàng)SRAM;外接LPDDR4x DRAM和eMMC FLASH的大容量存儲器(qì)接口;PCIe x8 Gen4和其它通用I/O接口。該芯片的峰值計算(suàn)性能(néng)為(wèi)100-200 TOPS,适用于ML推理(lǐ),其工作(zuò)功耗低(dī)于20W。

  阿裏平頭哥的玄鐵910 RISC-V處理(lǐ)器(qì)采用12nm工藝,擁有16個(gè)内核,主頻最高達2.5GHz,性能(néng)高達7.1 Coremark/MHz。這(zhè)款高性能(néng)處理(lǐ)器(qì)IP可以用于設計高性能(néng)芯片,應用于5G、人(rén)工智能(néng)、網絡通信以及自動駕駛等領域。搭載玄鐵910處理(lǐ)器(qì)的RVB -ICE是平頭哥開發的支持Android基礎功能(néng)的RISC-V開發闆,頻率可達1.2GHz,集成WIFI和GMAC網絡通信接口,以及16GB EMMC存儲。開發者可使用該開發闆參與RISC-V與Android的生(shēng)态建設。

  九、先進封裝技術成“新(xīn)摩爾定律”

  過去數十年來(lái),摩爾定律猶如(rú)燈塔一(yī)般引領了(le)半導體(tǐ)行業的發展,然而出于物理(lǐ)極限和制造成本的原因,當先進工藝技術走到5nm、3nm,甚至2nm時(shí),通過晶體(tǐ)管微縮工藝以實現(xiàn)更高經濟價值的邏輯正逐漸變得不再有效。

  而從市(shì)場(chǎng)趨勢來(lái)看,過去十年中,數據計算(suàn)量的發展超過了(le)過去四十年的總和,雲計算(suàn)、大數據分(fēn)析、人(rén)工智能(néng)、AI推斷、移動計算(suàn),甚至自動駕駛汽車都需要海量計算(suàn)。而要解決算(suàn)力增長問題,除了(le)繼續通過CMOS微縮來(lái)提高密度之外,能(néng)夠将不同工藝/架構、不同指令集、不同功能(néng)的硬件進行組合的異構計算(suàn),也(yě)成為(wèi)了(le)重要方式之一(yī)。

  于是,一(yī)條不再是直線的IC技術發展路(lù)線,以及市(shì)場(chǎng)對創新(xīn)解決方案的需求,将封裝,尤其是先進封裝技術,推向了(le)創新(xīn)的前沿。

  最新(xīn)的調研數據顯示,2020年至2026年,先進封裝市(shì)場(chǎng)複合年增長率約為(wèi)7.9%。到2025年,該市(shì)場(chǎng)營收就(jiù)将突破420億美元,這(zhè)幾乎是傳統封裝市(shì)場(chǎng)預期增長率(2.2%)的三倍。其中,2.5D/3D堆疊IC、嵌入式芯片封裝(Embedded Die, ED)和扇出型封裝(Fan-Out, FO)是增長最快(kuài)的技術平台,複合年增長率分(fēn)别為(wèi)21%、18%和16%。

  目前,在先進封裝的市(shì)場(chǎng)争奪中,OSAT企業、晶圓代工廠、IDM、Fabless公司、EDA工具廠商(shāng)等都加入了(le)其中,且斥資巨大。但(dàn)總體(tǐ)而言,在可預見的未來(lái),2.5D/3D封裝技術将成為(wèi)“先進封裝”的核心,提升互聯密度和采用Chiplet設計會是兩條驅動“先進封裝”發展的技術路(lù)徑,而要展現(xiàn)先進封裝的最大價值,則需要來(lái)自全産業鏈的協同配合。

82ba5d7374ee86ab8f930f169728875e.jpg

  十、汽車域控制器(qì)和汽車大腦

  随着汽車行業向“新(xīn)四化(huà)”不斷演進,整個(gè)汽車電子(zǐ)電氣架構正在經曆從傳統分(fēn)布式架構(Distributed),到基于域的集中式架構(DCU based centralized),再到基于域融合的帶狀架構(DCU fusion basedzonal)的發展曆程。

  目前,國内外的汽車電子(zǐ)電氣架構主要呈現(xiàn)為(wèi)三域控制架構的情況,即智艙、智算(suàn)和智駕。預計2030年以後,随着自動駕駛技術路(lù)線的逐漸成熟,自動駕駛高性能(néng)芯片将與座艙主控芯片進一(yī)步向中央計算(suàn)芯片融合,從而通過集成進一(yī)步提升運算(suàn)效率并降低(dī)成本。

  這(zhè)意味着,現(xiàn)在的汽車需要能(néng)力非常強大的“大腦”——既要能(néng)夠擔當硬件中樞,還要具備非常強大的計算(suàn)能(néng)力,以滿足在上(shàng)述轉變過程中産生(shēng)的對軟硬件提出的新(xīn)需求。

  其實針對自動駕駛系統開發,業界普遍認為(wèi)從L2+輔助駕駛到L4/L5級自動駕駛的漸進式路(lù)線是最為(wèi)可行的路(lù)徑。這(zhè)就(jiù)要求相應的中央計算(suàn)平台具備超強的可擴展性,支持系統開發的平滑演進,滿足各級自動駕駛對于算(suàn)力和功耗的差異化(huà)要求,提升主機廠等合作(zuò)夥伴的開發效率。

  當然,汽車大腦芯片不能(néng)隻關(guān)心峰值算(suàn)力高低(dī),而是要做到全面均衡,信息安全、功能(néng)安全、異構架構設計、不同數據類型處理(lǐ)、熱管理(lǐ)等多個(gè)方面均應考慮在内。同時(shí),考慮到“軟件定義汽車”已成為(wèi)行業共識,因此在設計時(shí),還需要預留出足夠的冗餘空間(jiān)以應對汽車架構和AI算(suàn)法的不斷變化(huà)。

  未來(lái),汽車将毫無疑問地成為(wèi)一(yī)台機電一(yī)體(tǐ)化(huà)智能(néng)設備,現(xiàn)有子(zǐ)系統被盡量多的集成将會成為(wèi)趨勢,這(zhè)也(yě)使得硬件開發瓶頸被突破後,軟件引領的卓越用戶體(tǐ)驗開始成為(wèi)汽車的重要賣點。

上(shàng)海黛仁淨化(huà)工程有限公司

微信
相關(guān)案例 Related to the case

預約淨化(huà)工程設計施工方案

*已有【1200+】人(rén)成功預約

Copyright © 2020 上(shàng)海黛仁淨化(huà)工程有限公司 版權所有
X

截屏,微信識别二維碼

微信号:13816288164

(點擊微信号複制,添加好(hǎo)(hǎo)友)

  打開微信

微信号已複制,請打開微信添加咨詢詳情!
快(kuài)速報(bào)價
*
*
   免   費   
   報(bào)   價   
5000+ 淨化(huà)工程案例 免費設計咨詢 丨 138-1628-8164
預約設計